2013年简易频率特性测试仪

摘要

本设计的实现的简易频率测试仪中主要包括正交扫频信号源的设计、被测网络的设计、信号混合电路的设计、低通滤波器的设计以及模数转换和显示模块的设计。利用直接数字式频率合成器AD9854实现正交扫频信号源的设计,被测网络我们采用LRC谐振电路设计实现,利用AD835设计了乘法混合电路,自己利用滤波器设计软件设计了滤波器软件,利用C8051f020单片机最小系统控制高速AD7862完成模数的转换,最后在LCD屏上显示得到的相频曲线和幅频曲线。 本系统中设计中我们及设计完成了要求完成任务外,设计了友好人机交互接口,实现了频率可设置、在实现的过程中不仅能够改变频率,而且可以改变频率改变的分度值,最重要的是我们不论是改变频率还是频率改变的分度值我们都使用了同一个按键,设置了确认键让使用者有一个良好的体验。

关键词:简易频率测试仪,AD9854,正交扫频信号源,C8051f020单片机

Abstract

The design of a simple realization of the frequency measuring instrument mainly includes orthogonal frequency sweep signal source design, the tested network design, mixed signal circuit design, the design of low pass filter and analog to digital conversion and display module design. The design of direct digital frequency synthesizer AD9854 to achieve orthogonal frequency sweep signal source, the measured network we use LRC resonant circuit design, the multiplication of mixed circuit design using AD835, their use of filter design software to design filter software, using C8051f020 single chip minimize system control of high speed AD7862 analog-to-digital conversion, finally shows the phase frequency curve the amplitude frequency curve in the LCD screen.

This system design in the US and completed the design requirements to complete the task, friendly man-machine interface design, the frequency can be set up, not only can change the frequency in the process of realization, indexing and can change the frequency change value, the most important is whether we are indexing change frequency or frequency change values we all use the same key, set the confirm button allows the user to have a good experience.This system design in the US and completed the design requirements to complete the task, friendly man-machine interface design, the frequency can be set up, not only can change the frequency in the process of realization, indexing and can change the frequency change value, the most important is whether we are indexing change frequency or frequency change values we all use the same key, set the confirm button allows the user to have a good experience.

Keyword: The simple frequency tester, AD9854, Orthogonal frequency sweep signal source, C8051f020 system

一、 方案论证与比较

1. 方案的比较与选择

本次设计的中我们主要考虑正交扫频信号源的设计,核心MCU的控制设计,AD的选择这三部分。下面就分别对这三部分的方案做以选择。

(1)正交扫频信号源

方案一:我们可以利用FPGA+DA的方式产生正交的信号,但由于题目要求输出频率最高为40MHz,因此我们的FPGA最低需要80MHz以上的工作频率,而且需要额外的D/A进行数模转换,同样对于D/A的频率要求也比较高。鉴于我们手头上没有这么系统频率高的FPGA最小系统,高速D/A的价格也比较高,不容易买到。

方案二:采用高集成度的AD9854数字合成器,它可以输出两路正交的本地载波,高达300MHz的系统时钟,内部有4*到20*的可编程时钟倍频器,两个48位频率控制字寄存器,能够实现很高的频率分辨率,两个14位相位偏置寄存器,提供初始相位设置,带有100MHz的8位并行数据传输口或10MHz的串行数据传输口完全满足我们的要求。

综上所述,我们选择方案二。

(2)MCU的选择

根据我们准备的两块MCU最小系统板AT89C51、C8051F020和一块FPGA的系统板,由于我们本次设计中不论是控制信号还是数据量都很大,就AD9854需要控制的数据和控制信号就要二十多根,显示模块12864至少也需要17根,加上一些控制信号,C8051F020端口数量多能满足我们的要求,再加上C8051F020最显著的特性是功耗低,速度高。所以我们 C8051F020的最小系统板。

方案一:整个系统我们采用系统采用一张最小系统板来控制。由于本次设计所要计算的数据量和控制逻辑都很复杂,一块系统不能满足我们的要求。

方案二:在系统设计中将正交扫频信号源的产生控制和最后的模数转换及显示分别用两块最小系统控制。但两个系统是需要利用串口通信,传输其中的频率信号,。

综合上面两种方案我们选择方案二。

(3)A/D选择

在被测信号和正交信号中的一路信号混合再经过低通滤波后送给A/D将转换的数据送给显示模块。在这里我们需要对AD做选择,因为我们要求相频和幅频是同时被转换的,他们是同步的。

方案一:鉴于我们使用的是C8051F020最小系统板,在这个片子中自带了一个12为的A/D转换器,我们就使用这个A/D转换器。但是,这个A/D虽然是在片内的也很好用,并且可以有8个通道,但是这8个通道不能同时采集数据,所以采集的数据不能同步。以及其采样的速率和输入范围不能同时满足对I/Q的采样。

方案二:采用AD7862作为本级的转换芯片,AD7862是一个高速,低功耗,双12位的A/D转换,4输入通道,同时取样和转化,4 us吞吐时间,单电源供电,可选的输入电压范围:+10V AD7862-10;+2.5V AD7862-3;0-2.5V AD7862-2。高速并行接口,低能耗 60mW,省电模式 50uW,对模拟输入有过电压保护。

综上所述我们选方案二;

2.方案描述

图2 系统框图

图2是本次设计的系统框图,图中描述本系统采用两块C8051f020单片机系统控制分别对正交扫频信号信号源的产生信号的控制,和最后对正交信号与被测

网络混合后A/D转换后的分析显示控制。

第一块C8051f020系统通过与人机交互接口键盘和LCD液晶显示控制AD9854的的正交信号的不同频率,经过通过幅度调整50欧的阻抗匹配到与被测网络信号的混合,加上低通滤波器,将得到的波形模数转化后在显示到LCD液晶屏上。

二、 理论分析与计算

1.系统原理

图1 简易频率特性测试仪系统图

本次设计我们完全是按照上面图1的系统图设计的。首先我们用C8051F020控制AD9854产生两路正交信号cost和sint。被测网络为线性电路设输出为Bcos(t)则得到

Imix(t)AcostBcos(t)

1ABcoscos(2t)2

Qmix(t)AsintBcos(t)

1ABsinsin(2t)2

()()IQLPFLPF经过LPF,认为高频分量被滤除,I,对应的某一波特率为一常

量(为的函数)

1ABcos2 1QLPF(t)ABsin2 ILPF(t)经过ADC采样后,

arctanI

Q

BA 单片机内经过运算,可得幅频响应

20lgBA和相频响应()。

2滤波器的设计

滤波器的任务是滤除2倍频率分量,最后为2MHz(2MHz~80MHz),余下来的就只有直流分量。Butterworth型滤波器的特点是在通带中具有最平幅度,从通带衰减较慢,因此我们选用了4阶Butterworth型低通滤波器。

3.ADC设计

经过了低通滤波器后的的信号相当于是两路直流信号,这两路直流流信号经过我们要想去观察他,必须将这两路信号经过模数转换后放入我们的看见的显示器上,方便我们观察其相频和幅频曲线。

在这里我们选用了AD7862是因为他具有以下的功能特性:两个快速12-bit ADC,4输入通道,同时取样和转化,4 us吞吐时间,单电源供电,可选的输入电压范围:+10V AD7862-10;+2.5V AD7862-3;0-2.5V AD7862-2。高速并行接口,低能耗 60mW,省电模式 50uW,对模拟输入有过电压保护作用。而这也正好满足我们要同时采样和转换两路信号的要求。所以这里我们选用了AD7862.

4.被测网络设计

被测网络我们选用RLC串联谐振电路选择有载最大电压增益大于等于-1dB,下面是被测网络的电路图,如图3所示。

图3 被测网路

串联谐振时电压增益最大,LC串联部分相当于短路,根据题目说明第五条被测网络电压增益取:

Av20lguo

1us2

当谐振的时候

uo

1us2Av20lg

Ri20lg2RRRoi

因为RoRi50

RiAv20lg1dB1RiR2

所以

1202110R12.2018R

i11020

又已知有载品质因数Q=4

Q0L

RsumL4Rsum0

RsumRoRiR

所以

45050

220106L4505012.2018220106

3.1831HL3.5175H

又因为

0

将L代入可得C 1C20L 11C02Lmax02Lmin

17.731pFC19.984pF

5.特性曲线显示

在特性曲线显示模块中,我们采用12864液晶显示显示我们所测得到相频曲线和幅频曲线,在这块中主要就是在12864液晶中找到各个点对应的地址,然后再将经过AD转换过来的数据点亮对应的点,完成幅频和相频曲线的绘制。

三、 电路与程序设计

1. 电路设计

(1)乘法电路

本次是系统设计的过程中我们需要将正进行交扫频信号与测试网络乘法运算。是对两个模拟信号(电压或电流)实现相乘功能的的有源非线性器件。主要功能是实现两个互不相关信号相乘,即输出信号与两输入信号相乘积成正比。它有两个输入端口,即X和Y输入端口。乘法器两个输入信号的极性不同,其输

出信号的极性也不同。如果用XY坐标平面表示,则乘法器有四个可能的工作区,即四个工作象限,所以本次设计中我们采用了AD835作为我们的乘法器原件。

Ad835是个完备的4象限电压输出模拟放大器,其良好的绝缘性组装基于先进的双极工艺。塔产生线性的x与y电压输入的结果,有用输出为250mhz的3db 带宽(小信号上升时间为1ns)。满量程(−1 V至+1 V)上升至下降时间为2.5 ns(采用150 Ω标准RL),0.1%建立时间通常为20 ns。

它的微分乘法输入x与y,它的做加法的输入z都是高阻抗。低阻抗输出电压w能提供+-2.5v电压和驱动的负载最低位25欧姆。正常的操作是由+-5v供压。所以我们对我们的乘法电路设计如下图所示,完全能满足我们的要求。如图4所示。

图4乘法电路原理图

(2)被测网络

我们这次做的是简易频率特性测试仪,接下来比较重要就是要设计被测的网络线路图,我们这次设计的被测网络是是LRC串联RLC串联谐振电路,最终是要测试他们与正交信号源混合后的幅频特性和相频特性。下面的图5是被测网络的电路原理图。

图5 被测网络原理图

(3)低通滤波器

2. 程序设计

本次系统设计我们一共采用了两块单片机系统作为我们的控制模块,包括控制正交扫频信号源的正交信号和最后经过低通滤波器后的AD转换以及最后的显示模块。

(1)控制系统一的软件流程

第一块板子主要是驱动AD9854产生正交扫频信号和控制正交信号在频率上的一些改变以及最后与与第二片控制芯片通信。这片板子主要是实现两种方式即,点频方式和扫频方式的切换。在点频方式下我们通过按键设置增加和减小信号的频率,在扫频模式下,通过按键设置扫频的频率上下限范围及我们按键调整的分度值的调节。

下面的图7就是第一块控制板的软件流程图。

图7 第一块控制系统的流程图

(2)控制系统二的软件流程

在这块控制系统中主要是实现经过了低通滤波器后产生的信号的也就是直流信号进行AD转换和将转换后的数据显示出来,显示到12864上。利用一个按键切换显示中要显示的幅频和相频特性。下面的图8是第二块控制系统的软件流程。

9

图8 第二块控制系统软件流程图

四、 测试方案与测试结果

1.测试方案及测试条件

(1)测试仪器:示波器(RIGOL DS5202CAE),函数信号发生器(SP F20型数字合成函数信号发生器/计数器100uHz-20MHz)。 (2)频率特性测试仪测试结果

2.1相位误差绝对值测试

对于相位误差绝对值的测试,我们要观察正交的两路信号,以其中的一条作为参考基准,分别在不同的频率下观察,根据频率值计算出周期,再根据周期和观察到的相位差计算出相位差的误差绝对值。其测试的数据表1所示;

表1 相位误差绝对值测试原始数据

10

2.2幅度平衡误差绝对值测试 幅度平衡误差绝对值测试,同样也是要求我们在不同频率下测试出先对同一点的幅度值得差距,然后根据多次测量求其平均值。测试的原始数据如表2所示。

2.3幅度平坦度测试

UmaxUmin

100%

Umin幅度平坦度测试时,我们要测出不同频率对应的Vpp值,然后根据计

算幅度平坦度,并对其求平均值。其测试的原始数据如表3。

表3 幅度平坦度测试源数据

RLC串联谐振电路测试

3.1中心频率误差的绝对值测试

中心频率误差的绝对值测试,就是要求我们根据自己设计20M的频率,然后再观察的频率

11

3.测试结果分析

4.通过上面的测试结果,可以看出我们的设计已经基本上满足了设计的要求。

12

摘要

本设计的实现的简易频率测试仪中主要包括正交扫频信号源的设计、被测网络的设计、信号混合电路的设计、低通滤波器的设计以及模数转换和显示模块的设计。利用直接数字式频率合成器AD9854实现正交扫频信号源的设计,被测网络我们采用LRC谐振电路设计实现,利用AD835设计了乘法混合电路,自己利用滤波器设计软件设计了滤波器软件,利用C8051f020单片机最小系统控制高速AD7862完成模数的转换,最后在LCD屏上显示得到的相频曲线和幅频曲线。 本系统中设计中我们及设计完成了要求完成任务外,设计了友好人机交互接口,实现了频率可设置、在实现的过程中不仅能够改变频率,而且可以改变频率改变的分度值,最重要的是我们不论是改变频率还是频率改变的分度值我们都使用了同一个按键,设置了确认键让使用者有一个良好的体验。

关键词:简易频率测试仪,AD9854,正交扫频信号源,C8051f020单片机

Abstract

The design of a simple realization of the frequency measuring instrument mainly includes orthogonal frequency sweep signal source design, the tested network design, mixed signal circuit design, the design of low pass filter and analog to digital conversion and display module design. The design of direct digital frequency synthesizer AD9854 to achieve orthogonal frequency sweep signal source, the measured network we use LRC resonant circuit design, the multiplication of mixed circuit design using AD835, their use of filter design software to design filter software, using C8051f020 single chip minimize system control of high speed AD7862 analog-to-digital conversion, finally shows the phase frequency curve the amplitude frequency curve in the LCD screen.

This system design in the US and completed the design requirements to complete the task, friendly man-machine interface design, the frequency can be set up, not only can change the frequency in the process of realization, indexing and can change the frequency change value, the most important is whether we are indexing change frequency or frequency change values we all use the same key, set the confirm button allows the user to have a good experience.This system design in the US and completed the design requirements to complete the task, friendly man-machine interface design, the frequency can be set up, not only can change the frequency in the process of realization, indexing and can change the frequency change value, the most important is whether we are indexing change frequency or frequency change values we all use the same key, set the confirm button allows the user to have a good experience.

Keyword: The simple frequency tester, AD9854, Orthogonal frequency sweep signal source, C8051f020 system

一、 方案论证与比较

1. 方案的比较与选择

本次设计的中我们主要考虑正交扫频信号源的设计,核心MCU的控制设计,AD的选择这三部分。下面就分别对这三部分的方案做以选择。

(1)正交扫频信号源

方案一:我们可以利用FPGA+DA的方式产生正交的信号,但由于题目要求输出频率最高为40MHz,因此我们的FPGA最低需要80MHz以上的工作频率,而且需要额外的D/A进行数模转换,同样对于D/A的频率要求也比较高。鉴于我们手头上没有这么系统频率高的FPGA最小系统,高速D/A的价格也比较高,不容易买到。

方案二:采用高集成度的AD9854数字合成器,它可以输出两路正交的本地载波,高达300MHz的系统时钟,内部有4*到20*的可编程时钟倍频器,两个48位频率控制字寄存器,能够实现很高的频率分辨率,两个14位相位偏置寄存器,提供初始相位设置,带有100MHz的8位并行数据传输口或10MHz的串行数据传输口完全满足我们的要求。

综上所述,我们选择方案二。

(2)MCU的选择

根据我们准备的两块MCU最小系统板AT89C51、C8051F020和一块FPGA的系统板,由于我们本次设计中不论是控制信号还是数据量都很大,就AD9854需要控制的数据和控制信号就要二十多根,显示模块12864至少也需要17根,加上一些控制信号,C8051F020端口数量多能满足我们的要求,再加上C8051F020最显著的特性是功耗低,速度高。所以我们 C8051F020的最小系统板。

方案一:整个系统我们采用系统采用一张最小系统板来控制。由于本次设计所要计算的数据量和控制逻辑都很复杂,一块系统不能满足我们的要求。

方案二:在系统设计中将正交扫频信号源的产生控制和最后的模数转换及显示分别用两块最小系统控制。但两个系统是需要利用串口通信,传输其中的频率信号,。

综合上面两种方案我们选择方案二。

(3)A/D选择

在被测信号和正交信号中的一路信号混合再经过低通滤波后送给A/D将转换的数据送给显示模块。在这里我们需要对AD做选择,因为我们要求相频和幅频是同时被转换的,他们是同步的。

方案一:鉴于我们使用的是C8051F020最小系统板,在这个片子中自带了一个12为的A/D转换器,我们就使用这个A/D转换器。但是,这个A/D虽然是在片内的也很好用,并且可以有8个通道,但是这8个通道不能同时采集数据,所以采集的数据不能同步。以及其采样的速率和输入范围不能同时满足对I/Q的采样。

方案二:采用AD7862作为本级的转换芯片,AD7862是一个高速,低功耗,双12位的A/D转换,4输入通道,同时取样和转化,4 us吞吐时间,单电源供电,可选的输入电压范围:+10V AD7862-10;+2.5V AD7862-3;0-2.5V AD7862-2。高速并行接口,低能耗 60mW,省电模式 50uW,对模拟输入有过电压保护。

综上所述我们选方案二;

2.方案描述

图2 系统框图

图2是本次设计的系统框图,图中描述本系统采用两块C8051f020单片机系统控制分别对正交扫频信号信号源的产生信号的控制,和最后对正交信号与被测

网络混合后A/D转换后的分析显示控制。

第一块C8051f020系统通过与人机交互接口键盘和LCD液晶显示控制AD9854的的正交信号的不同频率,经过通过幅度调整50欧的阻抗匹配到与被测网络信号的混合,加上低通滤波器,将得到的波形模数转化后在显示到LCD液晶屏上。

二、 理论分析与计算

1.系统原理

图1 简易频率特性测试仪系统图

本次设计我们完全是按照上面图1的系统图设计的。首先我们用C8051F020控制AD9854产生两路正交信号cost和sint。被测网络为线性电路设输出为Bcos(t)则得到

Imix(t)AcostBcos(t)

1ABcoscos(2t)2

Qmix(t)AsintBcos(t)

1ABsinsin(2t)2

()()IQLPFLPF经过LPF,认为高频分量被滤除,I,对应的某一波特率为一常

量(为的函数)

1ABcos2 1QLPF(t)ABsin2 ILPF(t)经过ADC采样后,

arctanI

Q

BA 单片机内经过运算,可得幅频响应

20lgBA和相频响应()。

2滤波器的设计

滤波器的任务是滤除2倍频率分量,最后为2MHz(2MHz~80MHz),余下来的就只有直流分量。Butterworth型滤波器的特点是在通带中具有最平幅度,从通带衰减较慢,因此我们选用了4阶Butterworth型低通滤波器。

3.ADC设计

经过了低通滤波器后的的信号相当于是两路直流信号,这两路直流流信号经过我们要想去观察他,必须将这两路信号经过模数转换后放入我们的看见的显示器上,方便我们观察其相频和幅频曲线。

在这里我们选用了AD7862是因为他具有以下的功能特性:两个快速12-bit ADC,4输入通道,同时取样和转化,4 us吞吐时间,单电源供电,可选的输入电压范围:+10V AD7862-10;+2.5V AD7862-3;0-2.5V AD7862-2。高速并行接口,低能耗 60mW,省电模式 50uW,对模拟输入有过电压保护作用。而这也正好满足我们要同时采样和转换两路信号的要求。所以这里我们选用了AD7862.

4.被测网络设计

被测网络我们选用RLC串联谐振电路选择有载最大电压增益大于等于-1dB,下面是被测网络的电路图,如图3所示。

图3 被测网路

串联谐振时电压增益最大,LC串联部分相当于短路,根据题目说明第五条被测网络电压增益取:

Av20lguo

1us2

当谐振的时候

uo

1us2Av20lg

Ri20lg2RRRoi

因为RoRi50

RiAv20lg1dB1RiR2

所以

1202110R12.2018R

i11020

又已知有载品质因数Q=4

Q0L

RsumL4Rsum0

RsumRoRiR

所以

45050

220106L4505012.2018220106

3.1831HL3.5175H

又因为

0

将L代入可得C 1C20L 11C02Lmax02Lmin

17.731pFC19.984pF

5.特性曲线显示

在特性曲线显示模块中,我们采用12864液晶显示显示我们所测得到相频曲线和幅频曲线,在这块中主要就是在12864液晶中找到各个点对应的地址,然后再将经过AD转换过来的数据点亮对应的点,完成幅频和相频曲线的绘制。

三、 电路与程序设计

1. 电路设计

(1)乘法电路

本次是系统设计的过程中我们需要将正进行交扫频信号与测试网络乘法运算。是对两个模拟信号(电压或电流)实现相乘功能的的有源非线性器件。主要功能是实现两个互不相关信号相乘,即输出信号与两输入信号相乘积成正比。它有两个输入端口,即X和Y输入端口。乘法器两个输入信号的极性不同,其输

出信号的极性也不同。如果用XY坐标平面表示,则乘法器有四个可能的工作区,即四个工作象限,所以本次设计中我们采用了AD835作为我们的乘法器原件。

Ad835是个完备的4象限电压输出模拟放大器,其良好的绝缘性组装基于先进的双极工艺。塔产生线性的x与y电压输入的结果,有用输出为250mhz的3db 带宽(小信号上升时间为1ns)。满量程(−1 V至+1 V)上升至下降时间为2.5 ns(采用150 Ω标准RL),0.1%建立时间通常为20 ns。

它的微分乘法输入x与y,它的做加法的输入z都是高阻抗。低阻抗输出电压w能提供+-2.5v电压和驱动的负载最低位25欧姆。正常的操作是由+-5v供压。所以我们对我们的乘法电路设计如下图所示,完全能满足我们的要求。如图4所示。

图4乘法电路原理图

(2)被测网络

我们这次做的是简易频率特性测试仪,接下来比较重要就是要设计被测的网络线路图,我们这次设计的被测网络是是LRC串联RLC串联谐振电路,最终是要测试他们与正交信号源混合后的幅频特性和相频特性。下面的图5是被测网络的电路原理图。

图5 被测网络原理图

(3)低通滤波器

2. 程序设计

本次系统设计我们一共采用了两块单片机系统作为我们的控制模块,包括控制正交扫频信号源的正交信号和最后经过低通滤波器后的AD转换以及最后的显示模块。

(1)控制系统一的软件流程

第一块板子主要是驱动AD9854产生正交扫频信号和控制正交信号在频率上的一些改变以及最后与与第二片控制芯片通信。这片板子主要是实现两种方式即,点频方式和扫频方式的切换。在点频方式下我们通过按键设置增加和减小信号的频率,在扫频模式下,通过按键设置扫频的频率上下限范围及我们按键调整的分度值的调节。

下面的图7就是第一块控制板的软件流程图。

图7 第一块控制系统的流程图

(2)控制系统二的软件流程

在这块控制系统中主要是实现经过了低通滤波器后产生的信号的也就是直流信号进行AD转换和将转换后的数据显示出来,显示到12864上。利用一个按键切换显示中要显示的幅频和相频特性。下面的图8是第二块控制系统的软件流程。

9

图8 第二块控制系统软件流程图

四、 测试方案与测试结果

1.测试方案及测试条件

(1)测试仪器:示波器(RIGOL DS5202CAE),函数信号发生器(SP F20型数字合成函数信号发生器/计数器100uHz-20MHz)。 (2)频率特性测试仪测试结果

2.1相位误差绝对值测试

对于相位误差绝对值的测试,我们要观察正交的两路信号,以其中的一条作为参考基准,分别在不同的频率下观察,根据频率值计算出周期,再根据周期和观察到的相位差计算出相位差的误差绝对值。其测试的数据表1所示;

表1 相位误差绝对值测试原始数据

10

2.2幅度平衡误差绝对值测试 幅度平衡误差绝对值测试,同样也是要求我们在不同频率下测试出先对同一点的幅度值得差距,然后根据多次测量求其平均值。测试的原始数据如表2所示。

2.3幅度平坦度测试

UmaxUmin

100%

Umin幅度平坦度测试时,我们要测出不同频率对应的Vpp值,然后根据计

算幅度平坦度,并对其求平均值。其测试的原始数据如表3。

表3 幅度平坦度测试源数据

RLC串联谐振电路测试

3.1中心频率误差的绝对值测试

中心频率误差的绝对值测试,就是要求我们根据自己设计20M的频率,然后再观察的频率

11

3.测试结果分析

4.通过上面的测试结果,可以看出我们的设计已经基本上满足了设计的要求。

12


    相关文章

    2013年全国电子设计竞赛预测题目

    2013年全国电子设计竞赛赛题预测(权威版) 承载平台平衡调整系统 一. 任务 设计并制作一个承载平台平衡调整系统.该承载平台由多条腿支撑,并能承受一定重量的载重.通过调整支撑腿的伸缩来调整平台的水平和倾斜.平台为长方形,宽带尺寸不小于25 ...

    无线电对讲机设计论文

    学科分类号 本科生毕业论文(设计) 题目(中文) (英文) 学生姓名: 江泳康 学 号: 1010403040 系 别: 物理与信息工程系 专 业: 电子信息科学与技术专业 指导教师: 张沛 讲师 起止日期: 2013.11-2014.5 ...

    简易数字频率计数器的课程设计报告

    总分=实物*50%+课程设计报告书*50%. 目录 第一章 引言........................................................................................ ...

    晶体二极管-特性和参数

    一.二极管的特性 二极管最主要的特性是单向导电性,其伏安特性曲线如图1所示: 图1 二极管的伏安特性曲线 1.正向特性 在二极管两端的正向电压(P为正.N为负)很小时(锗管小于0.1伏,硅管小于0.5伏),管子不导通处于"死区&q ...

    简易信号发生器课程设计

    新疆塔里木大学 <模拟电子技术> 课程设计说明书 课程名称:简易信号发生器设计 系 部:信息工程系 专 业:计算机 班 级:通信13 模拟电子技术课程设计任务书 系:信息工程系 年级:大二 专业:计算机 目 录 第1章 函数发生 ...

    简易水下无线通信系统

    简易水下无线通信系统(B题) 摘 要 设计为一简易水下无线通信系统,由陆基单元以无线方式向水下潜艇单元发送控制信号,水下潜艇模型以红外线向陆上电机单元发送执行指令从而控制潜艇的上浮与下潜.系统以MSP430单片机为核心,分别实现信号的调制. ...

    历年电子设计大赛题目分类

    3.历届全国电子竞赛题目分析 电源类作品系统 三相正弦波变频电源设计 数控直流电流源设计 直流稳定电源设计 简易数控直流电源设计 开关稳压电源(07全国本) E题 简易数控充电电源 (08) 信号源类作品系统 无线电类作品系统 放大器类作品 ...

    电子电路综合实验报告

    电子电路综合实验报 课题名称:简易晶体管图示仪 专业:通信工程 班级: 学号: 姓名: 班内序号: 一.课题名称: 简易晶体管图示仪 二.摘要和关键词: 本报告主要介绍简易晶体管的设计实现方法,以及实验中会出现的问题及解决方法.给出了其中给 ...

    机械设备故障诊断基础知识

    基本资料 机械设备故障诊断基础知识 作者: 中国石化总公司长岭炼油厂设备研究所 出版社: 出版年: 1989年11月第1版 页数: 定价: 装帧: ISAN: 内容简介 本书介绍了机械设备故障诊断基础理论,测试仪器和基本技术. 书  目: ...