交通信号灯控制电路的设计

交通信号灯控制电路的设计

专业:机械电子工程一班

学号:0 8 4 9 0 1 0 6

交通信号灯控制电路的设计

一、实验目的

1、掌握综合应用理论知识和中规模集成电路设计方法 2、掌握调试及电路主要技术指标的测试方法

二、设计任务

由一条主干道和一条支干道的汇合点形成十字交叉路口,为确保车辆安全、迅速地通行,设计一个交叉道口入口处的红、绿、黄三色信号灯系统。红灯亮静止通行;绿灯亮允许通行;黄灯亮则给行驶中的车辆有时间停靠到禁行线之外。

三、基本要求

1、用红、绿、黄三色发光二极管作信号灯,用传感器或用逻辑开关代替传感器作检测车辆是否到来的信号,设计制作一个交通灯控制器。

2、由于主干道车辆较多而支干道车辆较少,所以主干道处于常允许通行的状态,而支干道有车来才允许通行。当主干道允许通行亮绿灯时,支干道亮红灯。而支干道允许通行亮绿灯时,主干道亮红灯。

3、当主、支干道均有车时,两者交替允许通行,主干道每次放行30s ,支干道每次放行20s 。设立30s 和20s 计时显示电路。

4、在每次由亮绿灯变成红灯的转换过程中间,要亮5s 的黄灯作为过渡,以使行驶中的车辆有时间停到禁止线以外。设置5s 计时显示电路。

四、设计提示及参考电路

某交通灯控制系统的组成框图如图所示。状态控制器主要用于纪录主、支干道交通灯的工作状态,通过状态译码器分别点亮相应状态的信号灯。秒信号发生器产生整个定时系统的时基脉冲,通过加法计数器对秒脉冲加计数,达到控制每一种工作状态的持续时间。加法计数器的回零脉冲使状态控制器完成状态转换,同时状态译码器根据系统下一个工作状态决定计数器下一次加计数的初始值。加法计数器的状态由BCD 异步清除计数器、数码管显示。

图Ⅰ

五、各单元电路的设计

① 十字路口车辆运行情况只有4种可能:

1、主、支干道均有车:

(1) 设开始时主干道通行、支干道不通行,这种情况下主绿灯亮和支红灯亮,持续时间

为30秒;

(2)30秒后,主干道停车,支干道仍不通行,这种情况下主黄灯和支红灯亮,持续时间为5秒;

(3)5秒后,主干道不通行,支干道通行,这种情况下主红灯和支绿灯亮,持续时间为20秒;

(4)20秒钟后,主干道仍不通行,支干道停车,这种情况下主红灯和支黄灯亮,持续时间为5秒。

5秒后又回到第一种情况,如此循环反复。

2、主干道有车,支干道没车:主干道绿灯常亮,支干道红灯常亮; 3、主干道没车,支干道有车:主干道红灯亮,支干道绿灯亮;

4、主干道没车,支干道也没车:主干道绿灯常亮,支干道红灯亮。

逐控电路的输出一方面经译码后分别控制主干道和支干道的三个信号灯,另一方面控制定时电路的启动。主控电路属于时序逻辑电路,应该按照时序逻辑电路的设计方法进行设计。也可以采用储存器电路实现,即将传感器信号和定时信号经过编码所得的代码作为储存器的地址信号,有储存器数据信号去控制交通灯因此,要求主控制器电路也有4种状态状态信号灯四种不同的状态分别用S 0(主绿灯亮、支红灯亮)、S 1(主黄灯亮、支红灯闪烁)、S 2(主红灯亮、支绿灯亮)、S 3(主红灯闪烁、支黄灯亮)表示,其状态编码及状态转换图如图所示。

图Ⅱ

主干道有车用A 表示,支干道有车用B 表示,30秒的计时器用L 表示,20秒的计时器用S 表示,5秒的计时器用P 表示,则状态转换图如下图所示。

图Ⅲ

②选择触发器的数目并进行状态分配:

由上可得状态数目为四,则N=四,选n 个触发器须满足以下关系 :

2n ≥N=4 所以:n=2

选J 、K 触发器,两个触发器的状态输出为:Q 2Q 1 可令:S 0=00,S 1=01,S 2=11,S 3=10 根据上面的状态图可以得出状态表,如下

A B L S P Q 2n Q 1n Q 2n+1 Q 1n+1 x 0 x x x 0 0 0 0 1 1 0 x x 0 0 0 0 0 1 x x x 0 0 0 1 1 1 1 x x 0 0 0 1 x x x x 0 0 1 0 1 x 0 1 1

x 1 1 0

x x x x x x 0 x

1 x x x

0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0

0 0 x x x 1 1 1 0 1 1 x 1 x 1 1 1 0 x x x x 0 1 0 1 0 x x x x 1 1 0 0 0

图Ⅳ(注:x 表示任意状态) ③根据状态表可求出状态方程

=

=

=

=

=

=

==

=

=

=

=

所以触发器的驱动方程为: JK 触发器的特性方程为:

根据D 中①②两式触发器的驱动方程为:

元器件的选择:两个JK 主从触发器 四个与门 生器

由以上可以画出主控制器的逻辑电路图如下

三个与非门 一个非门

时钟脉冲信号发

S

A

P

B

图Ⅴ

⒉计时器的设计

根据A 、B 干道的通车情况、通车时间以及黄灯切换时间的要求,需要30秒,20秒,5秒的计时器。30秒计时器是在A 、B 车道都有车的时候,处于B 干道通行的状态是开始计时,30秒后会发出信号给主控制器,并产生一个复位脉冲使计时器复位。20秒计时与30秒计时器一样,也是

在亮干道都有车时,并且主控制电路处于A 干道通行状态时开始计时。5秒计时器主要用于延时,使已经出线的车辆通行,所以是在 A 干道通行或者B 干道通行时开始计时的,待到规定时间分别输出S=1,P=1的信号,使计时器恢复。

元件选择:五个半导体数码管、时钟脉冲信号发生器(、与非门和非门 74160TTL 可预置BCD 异步清除计数器(如下图),组成的需要的各进制的计数器

74160有以下作用: 1. 异步清零

只要(CR 的非)有效电平到来,无论有无CP 脉冲,输出为“0”。在图形符号中,CR 的非的信号为CT=0,若接成七进制计数器,这里要特别注意,控制清零端的信号不是N-1(6),而是N (7)状态。其实,很容易解所以,清零信号是非常短暂的,仅是过度状态,不能成为计数的一个状态。清零端是低电平有效。 2. 同步置数

释,由于异步清零端信号一旦出现就立即生效,如刚出现0111,就立即送到(CR 的非)

端,使状态变为0000。

当(LD 的非)为有效电平时,计数功能被禁止,在CP 脉冲上升沿作用下D0~D3的数据被置入计数器并呈现在Q0~Q3端。若接成七进制计数器,控制置数端的信号是N (7)状态,如在D0~D3置入0000,则在Q0~Q3端呈现的数据就是0110。 以下以30进制说明:(电路图如下)

图Ⅵ

上面为十位数计数,下面为个位数计数;当个位计数器的7、8接高电平时,时钟脉冲信号一来,计数器开始计数;由图可以看出下面的计数器为九进制,上面的为三进制;当个位计数器计数到9(由半导体数码管显示)时,再来一个脉冲信号,就由个位计数器的15接线柱向十位计数器进1;此时,十位计数器的7、8位接了高电平,开始计数。当个位计数器转为0时,十位计数器停止工作;当计数到29时,由两个计数器的9接线柱(同时置零端)同时置零。 20秒计时器的电路图如下:

图Ⅶ

其工作原理与30秒计数器一样,但是十位计数器是2进制。

5秒计数器的电路图:

图Ⅷ

5秒计数器只有个位计数,所以只需要一个74160就能完成,其计数功能和其他两个计数器的个位计数原理基本相同,只是进制不同;一个属于五进制,一个是十进制。

三个计数器只要按照总的功能连接起来就可以实现计数功能而完成计时器部分的工作。

3、译码驱动电路的设计 当主控器把信号传出时,必须有一个接收信号的装置然后把信号转变为信号灯能接收的信号输出,此时就必须要一个译码器。主、支干道上红、黄、绿信号灯的状态主要取决于状态控制器的输出状态。它们之间的关系见真值表。对于信号灯的状态,“1”表示灯亮,“0”表示灯灭。

R =Q 2⋅Q 1+Q 2⋅Q 1=Q 2 R =Q 2 Y =Q 2⋅Q 1 Y =Q 2⋅Q 1 G =Q 2⋅Q 1 G =Q 2Q 1 r =Q 2⋅Q 1+Q 2⋅Q 1=Q 2 =Q 2

y =Q 2⋅Q 1 =

Q 2⋅Q 1

g =Q 2⋅Q 1

=Q 2⋅Q 1

根据设计要求,当黄灯亮时,红灯应按1H Z 频率闪烁。从状态译码器真值表中看出,黄灯亮时,Q 1必为高电平;而红灯点亮信号与Q 1无关。现利用Q 1信号去控制—三态门电路74LS245 (或模拟开关),当Q 1为高电平时,将秒信号脉冲引到驱动红灯的与非门的输入端,使红灯在黄灯亮期间闪烁;反之将其隔离,红灯信号不受黄灯信号的影响。

元器件选择:74139译码器(如下图)、与非门和非门、信号灯

74139译码器可以同时输出两个端控制主干道和支干道的灯,由于该译码器的输出端都是低电平,所以在接灯时必须要与非门一起。 其电路图如下:

图Ⅸ

根据四种状态,译码器的1Y0

接支干道的红灯;1Y1接主干道的黄灯,2Y0接主干道的红灯;1Y2接主干道的红灯,2Y21Y3接主干道的红灯,2Y3接主干道的黄灯。

六、整体电路的说明:

将以上各部分电路按照逻辑关系连接起来课的总电路图

整体电路图如下:

图Ⅹ

当主、支干道就有车时,即A=1,B=1时,由要求可知,此时主、支干道的红绿灯交替运行,中间由黄灯间停5秒。即主干道绿灯亮30秒后,主干道黄灯亮,5秒后主干道红灯亮,支干道绿灯亮,若在主干道未过30秒或支干道计时器工作时支干道突然没车,则不管是30秒计时器还是20秒计时器还是5秒计时器都马上跳为零。当主干道无车,支干道有车时,主干道红灯亮,支干道绿灯亮,计时器不工作。若此时主干道突然来车,则支干道计时器开始计时,20秒后支干道黄灯亮,5秒后变为红灯,而原来的主干道由红灯变为绿灯。当主干道有车,支干道没车,则主干道绿灯亮,支干道红灯亮,计时器不工作。当主干道无车,支干道也没车时,主干道绿灯亮,支干道红灯亮,计时器也不工作。这上面几种情况重复运行,才能保证交通的顺利运行。需要注意的是所有74160的1接线柱都必须接到跟等相关的线上

七、总结

首先要感谢指导老师的指导,给我们很有用的指导材料,还有同学的热情帮助,使我们成功的完成这次毕业设计。通过这次设计,我深刻的了解,知识一定要融会贯通,只了解单方面的知识是不行的。

可是如何才能将分散的知识东西组成一个整体,就是将各个部分合起来成为一个完整的系统并能按照我们所期待的那样运行,这是我要锻炼的。设计时,上网查资料,尽可能多的了解信号灯的知识,并在写的过程中更进一步的了解word 、excel 、ewb 的功能。通过这次毕业设计,我在用专业知识、专业技能分析和解决问题的方面有了全面的系统的锻炼。使我在电路的分析,设计思路及技巧应用的能力方面向前迈了一大步。

交通信号灯控制电路的设计

专业:机械电子工程一班

学号:0 8 4 9 0 1 0 6

交通信号灯控制电路的设计

一、实验目的

1、掌握综合应用理论知识和中规模集成电路设计方法 2、掌握调试及电路主要技术指标的测试方法

二、设计任务

由一条主干道和一条支干道的汇合点形成十字交叉路口,为确保车辆安全、迅速地通行,设计一个交叉道口入口处的红、绿、黄三色信号灯系统。红灯亮静止通行;绿灯亮允许通行;黄灯亮则给行驶中的车辆有时间停靠到禁行线之外。

三、基本要求

1、用红、绿、黄三色发光二极管作信号灯,用传感器或用逻辑开关代替传感器作检测车辆是否到来的信号,设计制作一个交通灯控制器。

2、由于主干道车辆较多而支干道车辆较少,所以主干道处于常允许通行的状态,而支干道有车来才允许通行。当主干道允许通行亮绿灯时,支干道亮红灯。而支干道允许通行亮绿灯时,主干道亮红灯。

3、当主、支干道均有车时,两者交替允许通行,主干道每次放行30s ,支干道每次放行20s 。设立30s 和20s 计时显示电路。

4、在每次由亮绿灯变成红灯的转换过程中间,要亮5s 的黄灯作为过渡,以使行驶中的车辆有时间停到禁止线以外。设置5s 计时显示电路。

四、设计提示及参考电路

某交通灯控制系统的组成框图如图所示。状态控制器主要用于纪录主、支干道交通灯的工作状态,通过状态译码器分别点亮相应状态的信号灯。秒信号发生器产生整个定时系统的时基脉冲,通过加法计数器对秒脉冲加计数,达到控制每一种工作状态的持续时间。加法计数器的回零脉冲使状态控制器完成状态转换,同时状态译码器根据系统下一个工作状态决定计数器下一次加计数的初始值。加法计数器的状态由BCD 异步清除计数器、数码管显示。

图Ⅰ

五、各单元电路的设计

① 十字路口车辆运行情况只有4种可能:

1、主、支干道均有车:

(1) 设开始时主干道通行、支干道不通行,这种情况下主绿灯亮和支红灯亮,持续时间

为30秒;

(2)30秒后,主干道停车,支干道仍不通行,这种情况下主黄灯和支红灯亮,持续时间为5秒;

(3)5秒后,主干道不通行,支干道通行,这种情况下主红灯和支绿灯亮,持续时间为20秒;

(4)20秒钟后,主干道仍不通行,支干道停车,这种情况下主红灯和支黄灯亮,持续时间为5秒。

5秒后又回到第一种情况,如此循环反复。

2、主干道有车,支干道没车:主干道绿灯常亮,支干道红灯常亮; 3、主干道没车,支干道有车:主干道红灯亮,支干道绿灯亮;

4、主干道没车,支干道也没车:主干道绿灯常亮,支干道红灯亮。

逐控电路的输出一方面经译码后分别控制主干道和支干道的三个信号灯,另一方面控制定时电路的启动。主控电路属于时序逻辑电路,应该按照时序逻辑电路的设计方法进行设计。也可以采用储存器电路实现,即将传感器信号和定时信号经过编码所得的代码作为储存器的地址信号,有储存器数据信号去控制交通灯因此,要求主控制器电路也有4种状态状态信号灯四种不同的状态分别用S 0(主绿灯亮、支红灯亮)、S 1(主黄灯亮、支红灯闪烁)、S 2(主红灯亮、支绿灯亮)、S 3(主红灯闪烁、支黄灯亮)表示,其状态编码及状态转换图如图所示。

图Ⅱ

主干道有车用A 表示,支干道有车用B 表示,30秒的计时器用L 表示,20秒的计时器用S 表示,5秒的计时器用P 表示,则状态转换图如下图所示。

图Ⅲ

②选择触发器的数目并进行状态分配:

由上可得状态数目为四,则N=四,选n 个触发器须满足以下关系 :

2n ≥N=4 所以:n=2

选J 、K 触发器,两个触发器的状态输出为:Q 2Q 1 可令:S 0=00,S 1=01,S 2=11,S 3=10 根据上面的状态图可以得出状态表,如下

A B L S P Q 2n Q 1n Q 2n+1 Q 1n+1 x 0 x x x 0 0 0 0 1 1 0 x x 0 0 0 0 0 1 x x x 0 0 0 1 1 1 1 x x 0 0 0 1 x x x x 0 0 1 0 1 x 0 1 1

x 1 1 0

x x x x x x 0 x

1 x x x

0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0

0 0 x x x 1 1 1 0 1 1 x 1 x 1 1 1 0 x x x x 0 1 0 1 0 x x x x 1 1 0 0 0

图Ⅳ(注:x 表示任意状态) ③根据状态表可求出状态方程

=

=

=

=

=

=

==

=

=

=

=

所以触发器的驱动方程为: JK 触发器的特性方程为:

根据D 中①②两式触发器的驱动方程为:

元器件的选择:两个JK 主从触发器 四个与门 生器

由以上可以画出主控制器的逻辑电路图如下

三个与非门 一个非门

时钟脉冲信号发

S

A

P

B

图Ⅴ

⒉计时器的设计

根据A 、B 干道的通车情况、通车时间以及黄灯切换时间的要求,需要30秒,20秒,5秒的计时器。30秒计时器是在A 、B 车道都有车的时候,处于B 干道通行的状态是开始计时,30秒后会发出信号给主控制器,并产生一个复位脉冲使计时器复位。20秒计时与30秒计时器一样,也是

在亮干道都有车时,并且主控制电路处于A 干道通行状态时开始计时。5秒计时器主要用于延时,使已经出线的车辆通行,所以是在 A 干道通行或者B 干道通行时开始计时的,待到规定时间分别输出S=1,P=1的信号,使计时器恢复。

元件选择:五个半导体数码管、时钟脉冲信号发生器(、与非门和非门 74160TTL 可预置BCD 异步清除计数器(如下图),组成的需要的各进制的计数器

74160有以下作用: 1. 异步清零

只要(CR 的非)有效电平到来,无论有无CP 脉冲,输出为“0”。在图形符号中,CR 的非的信号为CT=0,若接成七进制计数器,这里要特别注意,控制清零端的信号不是N-1(6),而是N (7)状态。其实,很容易解所以,清零信号是非常短暂的,仅是过度状态,不能成为计数的一个状态。清零端是低电平有效。 2. 同步置数

释,由于异步清零端信号一旦出现就立即生效,如刚出现0111,就立即送到(CR 的非)

端,使状态变为0000。

当(LD 的非)为有效电平时,计数功能被禁止,在CP 脉冲上升沿作用下D0~D3的数据被置入计数器并呈现在Q0~Q3端。若接成七进制计数器,控制置数端的信号是N (7)状态,如在D0~D3置入0000,则在Q0~Q3端呈现的数据就是0110。 以下以30进制说明:(电路图如下)

图Ⅵ

上面为十位数计数,下面为个位数计数;当个位计数器的7、8接高电平时,时钟脉冲信号一来,计数器开始计数;由图可以看出下面的计数器为九进制,上面的为三进制;当个位计数器计数到9(由半导体数码管显示)时,再来一个脉冲信号,就由个位计数器的15接线柱向十位计数器进1;此时,十位计数器的7、8位接了高电平,开始计数。当个位计数器转为0时,十位计数器停止工作;当计数到29时,由两个计数器的9接线柱(同时置零端)同时置零。 20秒计时器的电路图如下:

图Ⅶ

其工作原理与30秒计数器一样,但是十位计数器是2进制。

5秒计数器的电路图:

图Ⅷ

5秒计数器只有个位计数,所以只需要一个74160就能完成,其计数功能和其他两个计数器的个位计数原理基本相同,只是进制不同;一个属于五进制,一个是十进制。

三个计数器只要按照总的功能连接起来就可以实现计数功能而完成计时器部分的工作。

3、译码驱动电路的设计 当主控器把信号传出时,必须有一个接收信号的装置然后把信号转变为信号灯能接收的信号输出,此时就必须要一个译码器。主、支干道上红、黄、绿信号灯的状态主要取决于状态控制器的输出状态。它们之间的关系见真值表。对于信号灯的状态,“1”表示灯亮,“0”表示灯灭。

R =Q 2⋅Q 1+Q 2⋅Q 1=Q 2 R =Q 2 Y =Q 2⋅Q 1 Y =Q 2⋅Q 1 G =Q 2⋅Q 1 G =Q 2Q 1 r =Q 2⋅Q 1+Q 2⋅Q 1=Q 2 =Q 2

y =Q 2⋅Q 1 =

Q 2⋅Q 1

g =Q 2⋅Q 1

=Q 2⋅Q 1

根据设计要求,当黄灯亮时,红灯应按1H Z 频率闪烁。从状态译码器真值表中看出,黄灯亮时,Q 1必为高电平;而红灯点亮信号与Q 1无关。现利用Q 1信号去控制—三态门电路74LS245 (或模拟开关),当Q 1为高电平时,将秒信号脉冲引到驱动红灯的与非门的输入端,使红灯在黄灯亮期间闪烁;反之将其隔离,红灯信号不受黄灯信号的影响。

元器件选择:74139译码器(如下图)、与非门和非门、信号灯

74139译码器可以同时输出两个端控制主干道和支干道的灯,由于该译码器的输出端都是低电平,所以在接灯时必须要与非门一起。 其电路图如下:

图Ⅸ

根据四种状态,译码器的1Y0

接支干道的红灯;1Y1接主干道的黄灯,2Y0接主干道的红灯;1Y2接主干道的红灯,2Y21Y3接主干道的红灯,2Y3接主干道的黄灯。

六、整体电路的说明:

将以上各部分电路按照逻辑关系连接起来课的总电路图

整体电路图如下:

图Ⅹ

当主、支干道就有车时,即A=1,B=1时,由要求可知,此时主、支干道的红绿灯交替运行,中间由黄灯间停5秒。即主干道绿灯亮30秒后,主干道黄灯亮,5秒后主干道红灯亮,支干道绿灯亮,若在主干道未过30秒或支干道计时器工作时支干道突然没车,则不管是30秒计时器还是20秒计时器还是5秒计时器都马上跳为零。当主干道无车,支干道有车时,主干道红灯亮,支干道绿灯亮,计时器不工作。若此时主干道突然来车,则支干道计时器开始计时,20秒后支干道黄灯亮,5秒后变为红灯,而原来的主干道由红灯变为绿灯。当主干道有车,支干道没车,则主干道绿灯亮,支干道红灯亮,计时器不工作。当主干道无车,支干道也没车时,主干道绿灯亮,支干道红灯亮,计时器也不工作。这上面几种情况重复运行,才能保证交通的顺利运行。需要注意的是所有74160的1接线柱都必须接到跟等相关的线上

七、总结

首先要感谢指导老师的指导,给我们很有用的指导材料,还有同学的热情帮助,使我们成功的完成这次毕业设计。通过这次设计,我深刻的了解,知识一定要融会贯通,只了解单方面的知识是不行的。

可是如何才能将分散的知识东西组成一个整体,就是将各个部分合起来成为一个完整的系统并能按照我们所期待的那样运行,这是我要锻炼的。设计时,上网查资料,尽可能多的了解信号灯的知识,并在写的过程中更进一步的了解word 、excel 、ewb 的功能。通过这次毕业设计,我在用专业知识、专业技能分析和解决问题的方面有了全面的系统的锻炼。使我在电路的分析,设计思路及技巧应用的能力方面向前迈了一大步。


    相关文章

    交通红绿灯控制电路

    学科分类号 本科学生电子课程设计论文 题 目 姓 名 学 号 院 (系) 专业.年级 指导教师 2008年 9月 25 日 说明:评定成绩分为优秀.良好.中等.及格.不及格五个等级,实评总分90-100分记为优秀,80-89分记为良好,70 ...

    交通灯控制器设计

    科技学院机电学院 电子课程设计报告 题目:交通灯控制器设计 专业班级: 姓 名: 时 间:2011.12.05 - 2011.12.22 指导教师: 完成日期:2011年12月 22 日 交通灯控制器设交计任务书 1.设计目的与要求 设计一 ...

    数字电路交通灯

    2017届结课论文 <Proteus 原理图设计与电路仿真> 设计题目:十字路口红绿灯设计 学生姓名:张祥光 学 号:5011213317 所属学院:信息工程学院 专 业:计算机科学与技术 班 级: 指导教师:孟洪兵 目录 一. ...

    交通灯控制器的设计

    电子课程设计报告 题目名称: 交通灯控制器 姓 名: 专 业: 班级学号: 同 组 人: 指导老师: 南昌航空大学信息工程学院 2013年09月20日 数字电路 课程设计任务书 20 13-20 14 学年 第 1 学期 第 2 周- 3 ...

    交通灯毕业设计

    第一章 设计目的和要求 1. 设计目的 (1)掌握主.支干道十字路口交通信号灯控制系统的设计方法 (2)掌握定时电路.计数译码显示.秒脉冲发生器等电路的设计方法 (3)熟悉中规模集成计数器CD4029的功能,并能正确应用. 2. 设计要求 ...

    电子技术课程设计,交通灯简易设计

    电子技术课程设计 交通灯控制器的设计 专 业: 班 级: 学 号: 姓 名: 小组成员: 指导教师: 时间: 电子信息类 工三1401 一.课程设计的内容: 一个十字路口,两条道分别为主道和支道,各设一个红.绿.黄三色交通灯.主道每次放行5 ...

    交通信号灯控制系统

    ※※※※※※※※※ ※2010级学生电子技术※ ※ ※ ※※ 课程设计 ※※※※※ ※ ※ ※※ 电子技术课程设计报告书 课题名称 姓 名 学 号 院.系.部 专 业 指导教师 交通信号灯控制系统 巩振雪 1023080707 物理与电子科 ...

    交通灯课程设计报告

    摘 要 在今天的交通情况下,很多路口都出现拥堵和秩序混乱的情况,由此可见交通灯在生活中的重要性.我们本次课程设计的题目是交通灯控制器设计,要求设计并制作主/支交通信号灯控制器.我们小组成员通过共同交流和努力,完成了仿真图的设计.电路板的焊接 ...

    交通灯设计实验

    FPGA 实现交通灯控制系统的设计 一.实验目的与要求 掌握用FPGA 可编程逻辑器件实现交通灯控制系统的基本功能的设计方法. 熟悉交通灯控制系统的ASM 图和状态转换图的设计方法. 二.实验原理 1.设计要求 设计一个十字路口主干道和支干 ...